专利摘要:
本発明は、基板上に堆積された高粘度液の層の中にある気孔を低減することにより、インプリント層の中のパターン歪みを低減する方法を対象とする。その目的のために、本方法は、高粘度液に近接して配置された気体の移送を変化させるステップを含む。具体的には、パターンが記録されることになる基板に近接した雰囲気に、高粘度液、基板、テンプレート、またはそれらの組合せのいずれかに対して高度に可溶性、高度に拡散性のいずれか、あるいはその両方である気体を限度一杯含ませる。加えて、あるいは雰囲気に限度一杯含ませる代わりに、雰囲気の圧力を低下させてよい。
公开号:JP2011514658A
申请号:JP2010545036
申请日:2009-02-05
公开日:2011-05-06
发明作者:クスナットディノヴ,ニヤズ;シュ,フランク・ワイ
申请人:モレキュラー・インプリンツ・インコーポレーテッド;
IPC主号:H01L21-027
专利说明:

[0001] (関連出願の相互参照)
本出願は、2008年2月5日出願の米国特許出願第12/026,022号の優先権を主張するものであり、これは参照によって本明細書に組み込まれる。
(技術分野)]
[0002] 発明の分野は、一般にインプリント・リソグラフィに関する。より詳細には、本発明は、インプリント材料の上の物質の流れを制御するためのシステムを対象とする。]
背景技術

[0003] 微細加工は、例えば数マイクロメートル程度以下のフィーチャを有する非常に小さな構造体の製造を含む。微細加工の、かなり大きな影響があった1つの領域は集積回路の加工である。半導体加工産業は、より大きな生産歩留りを求めて努力を続ける一方、基板上に形成される単位面積当たりの回路を増加させるので、微細加工はますます重要になる。微細加工によって、より優れたプロセス制御がもたらされる一方、形成された構造体の最小フィーチャ寸法のさらなる縮小が可能になる。微細加工を用いた開発のその他の領域には、バイオテクノロジー、光技術、機械システムなどが含まれる。]
[0004] 例示的微細加工技法は、Willsonらの米国特許第6,334,960号に示されている。Willsonらは、構造体内にレリーフ像を形成する方法を開示している。この方法は、転写層を有する基板を設けるステップを含む。転写層は、重合性流体組成物で覆われている。型は、重合性流体と機械的に接触する。型はレリーフ構造を含み、重合性流体組成物がこのレリーフ構造を充填する。次いで、重合性流体組成物は、これを凝固し、かつ重合させる条件下に置かれ、型のレリーフ構造に相補的なレリーフ構造を含む転写層上に凝固されたポリマー材料を形成する。次いで、凝固したポリマー材料で型の中のレリーフ構造の複製が形成されるように、型が固体のポリマー材料から分離される。転写層の中にレリーフ像が形成されるように、転写層および凝固したポリマー材料は、凝固したポリマー材料に対して転写層を選択的にエッチングするための環境に置かれる。必要な時間およびこの技法によってもたらされる最小のフィーチャ寸法は、とりわけ重合性材料の組成次第である。]
[0005] Chouの米国特許第5,772,905号は、基板上に保持された薄膜の中に少なくとも1つの突出したフィーチャを有する型が押し込まれている基板上にコーティングされた薄膜中に超微細(サブ25nm)パターンを生成するためのリソグラフィの方法および装置を開示している。型の中の突出したフィーチャが、薄膜の凹部を生成する。型が薄膜から除去される。次いで、薄膜は、凹部内の薄膜が除去されて下にある基板が露出するように処理される。したがって、型の中のパターンが薄膜の中に置換されてリソグラフィが完結する。薄膜中のパターンは、次工程で、基板の中、または基板上に追加される別の材料の中に再生されることになる。]
[0006] さらに別のインプリント・リソグラフィ技法が、Chouらによって「Ultrafast and Direct Imprint of Nanostructures in Silicon」、Nature、Col. 417、835〜837頁、2002年6月に開示されており、これはレーザ応用直接インプリント(LADI)プロセスと称される。このプロセスでは、基板の、ある領域が、例えばレーザでこの領域を加熱することによって液化され、流動性を与えられる。この領域が所望の粘性に達した後、その上にパターンを有する型が領域に接触して置かれる。流動性領域は、パターンのプロファイルに一致し、次いで、冷却されて基板の中にパターンを凝固させる。この技法に対する懸念に、流動性領域内の気体の存在に起因するパターン歪みが含まれる。]
[0007] 米国特許第6,334,960号
米国特許第5,772,905号]
先行技術

[0008] Chou外、「Ultrafast and Direct Imprint of Nanostructures in Silicon」、Nature、Col. 417、835-837頁、2002年6月]
[0009] したがって、インプリント・リソグラフィ技法を用いて形成されたパターンにおける歪みを低減するシステムを提供することが望まれている。]
図面の簡単な説明

[0010] 本発明によるリソグラフィ・システムの斜視図である。
図1に示されたリソグラフィ・システムの簡易正面図である。
図2に示されたインプリント層を構成する材料の、重合および架橋される前の簡易図である。
図3に示された材料が、放射を受けた後に変換された架橋ポリマー材料の簡易図である。
図1に示されたインプリント層のパターニングの後に、インプリント層から離隔された型の簡易正面図である。
第1のインプリント層中のパターンが図5に示される基板に転写された後に、基板上に置かれた追加のインプリント層の簡易正面図である。
図1に示されたプリント・ヘッドの詳細な斜視図である。
本発明によるチャック機構の断面図である。
図7に示されたインプリント・ヘッドの詳細な断面図である。
図9に示されたインプリント・ヘッドの下から上への斜視図である。] 図1 図2 図3 図5 図7 図9
実施例

[0011] 図1は、本発明の一実施形態によるリソグラフィ・システム10を示し、そのシステムは、1対の離隔されたブリッジ支持体12と、それら間に配設されるブリッジ14とステージ支持体16とを有している。ブリッジ14とステージ支持体16とは離隔されている。インプリント・ヘッド18がブリッジ14に結合され、インプリント・ヘッド18は、ブリッジ14からステージ支持体16へ延び、Z軸に沿った移動をもたらす。インプリント・ヘッド18と対面して、移動ステージ20がステージ支持体16上に配置されている。移動ステージ20は、ステージ支持体16に対してX軸およびY軸に沿って動くように構成されている。インプリント・ヘッド18はX軸およびY軸に沿ったならびにZ軸における移動をもたらすことができ、また、移動ステージ20はZ軸における、ならびにX軸およびY軸における運動をもたらすことができることを理解されたい。例示的移動ステージ・デバイスが、「Step and Repeat Imprint Lithography Systems」という名称の、本発明の譲受人に譲渡された米国特許第6,900,881号に開示されており、その全体が参照によって本明細書に組み込まれる。放射源22がリソグラフィ・システム10に結合され、移動ステージ20上に化学線を当てる。図示のように、放射源22はブリッジ14に結合され、放射源22に結合された発電機23を含む。リソグラフィ・システム10の動作は、これとデータ通信するプロセッサ25によって一般に制御される。] 図1
[0012] 図1および図2の両方を参照すると、型(モールド)28を有するテンプレート26がインプリント・ヘッド18に結合されている。型28は、複数の別々に離隔された凹部28aおよび突出部28bによって画定された複数のフィーチャを含む。複数のフィーチャが元のパターンを画定し、このパターンは、移動ステージ20上に位置する基板30の中に転写されることになる。その目的のために、インプリント・ヘッド18および/または移動ステージ20は、型28と基板30との間の距離「d」を変化させることができる。このように、型28上のフィーチャを基板30の流動性領域の中にインプリントすることができ、以下でより十分に論じられる。型28が放射源22と基板30との間に位置するように、放射源22が配置される。結果として、型28は、放射源22によって生成された放射に対して型28が実質的に透過性であり得る材料から製作される。] 図1 図2
[0013] 図2および図3の両方を参照すると、インプリント層34などの流動性領域が、実質的に平面状のプロファイルを示す面32の一部分上に配置される。流動性領域は、参照によってその全体が本明細書に組み込まれている米国特許第5,772,905号に開示された熱エンボス加工プロセス、またはChouらによって「Ultrafast and Direct Imprint of Nanostructures in Silicon」、Nature、Col. 417、835〜837頁、2002年6月、で説明されたタイプのレーザ応用直接インプリント(LADI)プロセスなどの任意の既知の技法を用いて形成することができる。しかし、本実施形態では、インプリント層34から成る流動性領域は、材料36aの複数の離隔された個別の小滴36として基板30上に堆積され、以下でより十分に論じられる。小滴36を堆積するための例示的システムが、「System and Method for Dispensing Liquids」という名称の、本発明の譲受人に譲渡された米国特許第6,926,929号に開示されており、その全体が参照によって本明細書に組み込まれる。インプリント層34は、元のパターンをそこに記録するために選択的に重合および架橋され得て記録されたパターンを画定する材料36aから形成される。材料36aの例示的組成は、「Method to Reduce Adhesion Between a Conformable Region and a Pattern of a Mold」という名称の米国特許第7,157,036号に開示されており、参照によってその全体が本明細書に組み込まれる。材料36aは、ポイント36bで架橋され、架橋されたポリマー材料36cを形成して図4に示されている。] 図2 図3 図4
[0014] 図2、図3および図5を参照すると、インプリント層34に記録されたパターンが、型28との機械的接触によって一部分生成される。その目的のために、小滴36が型28と機械的に接触することができるように距離「d」を縮小し、面32の上に材料36aを隣接して形成することによりインプリント層34を形成するように小滴36を広げる。一実施形態では、インプリント層34の一部分34aが凹部28aの中へ進入して充填することができるように距離「d」が縮小される。] 図2 図3 図5
[0015] 凹部28aの充填を容易にするために、材料36aには、凹部28aを完全に充填する一方で、材料36aの連続した形成によって面32を覆うのに必要な特性が備わっている。本実施形態では、突出部28bと重なるインプリント層34の一部分34bは、所望の、通常は最小の距離「d」が達された後に残り、部分34aの厚さはt1で残り、部分34bの厚さはt2で残る。厚さ、「t1」および「t2」は、用途次第で、所望の任意の厚さでよい。一般に、t1は、部分34aの幅uの2倍以下、すなわちt1≦2uになるように選択され、図5に、より明確に示されている。] 図5
[0016] 図2、図3および図4を参照すると、所望の距離「d」が達された後、放射源22は、材料36aを重合および架橋する化学線を生成して、架橋されたポリマー材料36cを形成する。結果として、インプリント層34の組成は、材料36aから架橋された固体のポリマー材料36cへと変換される。具体的には、架橋されたポリマー材料36cが凝固して、型28の面28cの形状に一致する形状のインプリント層34の側面34cをもたらし、これはより明確に図5に示されている。インプリント層34が、図4に示される架橋されたポリマー材料36cから成るように変換された後、図2に示されたインプリント・ヘッド18は距離「d」が増加するように移動され、その結果、型28とインプリント層34とが離隔される。] 図2 図3 図4 図5
[0017] 図5を参照して、基板30のパターニングを完結するために追加の処理を用いることができる。例えば、基板30およびインプリント層34は、インプリント層34のパターンを基板30の中へ転写するためにエッチングされ得て、図6に示されるパターニングされた面32aをもたらす。エッチングを容易にするために、インプリント層34を形成する材料は、必要に応じて基板30に対する相対的エッチング速度を定義するように変化されてよい。基板30に対するインプリント層34の相対的エッチング速度は、約1.5:1から約100:1の範囲にあってよい。] 図5 図6
[0018] その代わりに、またはそれに加えて、インプリント層34には、その上に選択的に配置されたフォトレジスト材料(図示せず)に対するエッチング差が備わってよい。インプリント層34をさらにパターニングするために、既知の技法を用いてフォトレジスト材料(図示せず)を設けることができる。所望のエッチング速度ならびに基板30およびインプリント層34を形成する下にある構成要素次第で、任意のエッチング処理を用いることができる。例示的エッチング処理には、プラズマ・エッチング、反応性イオン・エッチング、化学的ウェット・エッチングなどが含まれ得る。]
[0019] 図7および図8を参照すると、型28を担持するテンプレート26は、チャック本体42を含むチャック機構40を介してインプリント・ヘッド容器18aに結合される。チャック本体42は、その上に型28が真空技法を用いて取り付けられているテンプレート26を保持するように適合される。その目的のために、チャック本体42は、流体供給システム70などの圧力制御システムと流体連絡する1つまたは複数の凹部42aを含む。流体供給システム70は、正圧および負圧の両方、ならびに図5に示されたインプリント層34における空気などの気体の閉じ込めを防止するのではないにせよ低減を促進するための流体を供給するように、1つまたは複数のポンプを含んでよい。例示的チャック機構が、「Chucking System For Modulating Shapes of Substrates」という名称の、本発明の譲受人に譲渡された米国特許出願第10/293,224号に開示されており、その全体が参照によって本明細書に組み込まれる。] 図5 図7 図8
[0020] 上記で論じられたように、図3に示されたパターニングするインプリント材料36aが基板30の領域77上に配置される前に、テンプレート26、したがって型28も、インプリントの間中、基板30と近接した状態に置かれる。具体的には、テンプレート26は、基板30のマイクロメートル台の範囲内、例えば15マイクロメートル程度に置かれる。テンプレート26および領域77の両方に近接した雰囲気78の局所的制御を実行するのが望ましいと判明している。例えば、図3に示されるインプリント材料36aの中にある気体および/または図2に示されたパターニングされたインプリント層34の中に後で閉じ込められた気体の有害な影響を避けるのに、雰囲気78の中の流体の粘度および/または雰囲気78の圧力を制御するのが有利であると判明している。] 図2 図3
[0021] 図9を参照すると、雰囲気78の制御を容易にするために、チャック本体42は型28に近接した流体の通過を促進するように設計され、また、インプリント・ヘッド18は、テンプレート26を取り囲むバッフル100を含む。具体的には、バッフル100は、インプリント・ヘッド18から延びて、面26aが存在する面にある最低点102で終結する。このやり方で、型28が最低点102を越えて延び、領域77との接触が容易になる。チャック本体42は1つまたは複数の貫通路を含み、そのうち2つが104および106として示されている。貫通路104の開口104aおよび貫通路106の開口106aが、それぞれテンプレート26とバッフル100との間に配置されたチャック本体42の外周面100aと称される面に配置されている。貫通路104および106には、図8に示される流体供給システム70と流体連絡する開口104aおよび106aがある。バッフル100は、型28から開口104aおよび106aを出る流体の移動を遅くするように機能する。その目的のために、バッフル100は、第1および第2の向かい合った面102aおよび102bを含む。第1の向かい合った面102aは、基板30から離れた最低点102から延び、テンプレート26と対面する。第2の向かい合った面102bは、基板30から離れた最低点102から延び、型28から離れて対面する。必要なことではないが、第1の向かい合った面102aは、第2の向かい合った面102bに対して斜めに延びて示されている。この構成を用いて、開口104aおよび106aを通して流体を導入または排出することにより、雰囲気78を制御することができる。しかし、第1および第2の向かい合った面102aおよび102bは、最低点102から互いに平行に延びてよい。] 図8 図9
[0022] 図3、図8および図9を参照すると、一実施形態では、雰囲気78は、そこに存在する気体の、領域77のインプリント材料36a、基板31、テンプレート26、型28またはそれらの組合せのいずれかへの移送が増加するように確立される。用語「移送」は、インプリント材料36a、基板31、テンプレート26、型28またはそれらの組合せのいずれかを通る気体の伝搬が、例えば溶解性の増加、拡散の増加などで増加する任意の機構を意味するように定義される。その目的のために、流体供給システム70は、インプリント材料36aの供給を含んでよい。流体供給システム70とデータ通信するプロセッサ25の制御下で、雰囲気78にインプリント材料36aを限度一杯含ませるために、インプリント材料36aを開口104aおよび106aを通して導入することができる。これは、インプリント・プロセスの間中、図5に示されたインプリント層34に閉じ込められる空気などの気体の量を、完全に排除するのではないにせよ低減することが判明した。図5に示されたインプリント層34中の空気の存在が、望ましくない空隙を生成することが判明したので、これは有利である。あるいは、二酸化炭素および/またはヘリウムを雰囲気78に限度一杯含ませることによって、図5に示されたインプリント層34の中に閉じ込められる空気の量を、回避するのではないにせよ実質的に低減することが判明した。図5に示されたインプリント層34の中に閉じ込められる空気の量を低減するために、インプリント材料36a、二酸化炭素および/またはヘリウムの混合物を雰囲気78の中に導入してよいことを理解されたい。] 図3 図5 図8 図9
[0023] 図2、図9および図10を参照すると、流体の導入に関して直面した困難は、開口104aおよび106aを出るそれぞれの流体流104bおよび106bの分子が、小滴36が型28と接触する前に、型28と小滴36との間に位置する雰囲気の領域へ進むのを保証することであった。雰囲気78のこの領域は処理領域78aと称される。図示のように、開口104aおよび106aは、処理領域78aから離隔された外周面100aのまわりに配置される。領域77からの型28の離隔距離が数ミクロン程度であることを考えれば、流体流104bおよび106bの分子と、型28と領域77との間の間隔との相対的寸法では、前述の分子が処理領域78aの中へ進入するのが困難である。] 図10 図2 図9
[0024] 図8および図9を参照すると、前述の困難を克服する1つのやり方は、流体供給システム70をプロセッサ25で制御することである。メモリ(図示せず)は、プロセッサ25とデータ通信する。メモリ(図示せず)は、メモリ内に具体化されたコンピュータ可読プログラムを有するコンピュータ可読媒体を備える。コンピュータ可読プログラムは、流体流104bおよび106bを雰囲気78の中へと脈動させる命令を含み、上記で論じられた所望の分子混合物を得る。このように、流体流104bおよび106bの層流は避けることができる。流体流104bおよび106bに乱流を与えることによって、そこに含まれている分子の十分な量が処理領域78aに達する確率が増大することになると考えられ、インプリント層34に閉じ込められる気体の存在を、避けるのでないにしても低減する。その目的のために、流体は、開口104aおよび106aの両方を同時に脈動して通されてよく、あるいは、同じものを順次に、すなわち、第1の流体が脈動して開口104aを通って導入され、次に開口106aを通り、次いで再び104a通ってよく、このプロセスは、所望の期間、またはインプリント・プロセス全体を通じて繰り返される。さらに、型28と小滴36との間が接触する前に、そこに含まれていた十分な量の分子が処理領域78aに達することが望まれるので、処理領域78aの中への気体の流れのタイミングが重要である。] 図8 図9
[0025] あるいは、図9を参照すると、流体が、開口のうちの1つ、例えば開口104aを脈動して通り、次いで、残りの開口、例えば開口106aを通って排出されてよい。このように、流体は、処理領域78aを横切って引っ張られることになる。流体を脈動させて開口104aおよび106aの両方を同時に通し、次いで、開口104aおよび106aの両方を同時に通して排出することも有利であり得る。しかし、図2に示された小滴36の移動を回避しないにしても最小化するために、流体の流速を確立することが望まれる。] 図2 図9
[0026] 開口104aおよび106aを出る流体が処理領域78aを通って横切ることを保証するために、流体を同時に脈動させて開口104aおよび106aの両方を同時に通し、次いで開口104aまたは106aのうちの1つを交互に通して排出するのが有利であり得る。流体を、開口104aおよび106aの両方を同時に通して導入すると、雰囲気78に限度一杯含ませるのに必要な時間が最小化する。あるいは、開口104aおよび106aのうち1つを通して流体を排出すると、流体が処理領域78aを通って進むことが保証される。例えば、第1のステップは、開口104aおよび106aの両方を通して雰囲気78の中に流体を導入するステップを含むことになる。第2のステップは、開口104aおよび106aのうちの1つ、例えば開口104aを通して流体を排出するステップを含むことになる。その後、第3のステップで、流体は、開口104aおよび106aの両方を同時に通って雰囲気78の中に導入されることになる。第4のステップで、流体は、開口104aおよび106aのうち、以前の流体を除去するステップで使用されなかったもの、例えば開口106aを通して排出されることになる。流体が開口104aおよび106aの残りの開口を通して導入されている間に、排出が、開口104aおよび106aのうちの1つを通して行われ得ることを理解されたい。あるいは、雰囲気78の中への流体流れがない状態で排出が行われてよい。希望の結果は、流体が希望の濃度になるように、雰囲気78に対する流体の進入および排出が生じることである。]
[0027] 別の実施形態では、図9および図10を参照すると、1対の開口のそれぞれがテンプレート26の両側に互いに向かい合って配置されるように、複数の開口が、外周面100aのまわりに配置されてよい。これは、テンプレート26の両側に互いに向かい合って配置されている開口の対104aおよび106aによって示されている。第2の開口対は、108aおよび110aとして示されている。開口108aおよび110aは、テンプレート26の両側に互いに向かい合って配置される。] 図10 図9
[0028] 図示のように、開口104a、106a、108aおよび110aのそれぞれは、共通の円上に、隣接した開口が90°だけ離隔して配置される。このように、開口104a、106a、108aおよび110aのそれぞれが、チャック本体42の別々の象限の流体流れの入/出を促進するように配置される。具体的には、開口104aは、象限Iの流体流の入/出を促進し、開口106aは、象限IIの流体流れの入/出を促進し、開口108aは、象限IIIの流体流れの入/出を促進し、開口110aは、象限IVの流体流れの入/出を促進する。しかし、任意数の開口を使用することができ、例えば、1つの象限当たり複数の開口があって、異なる象限では数の異なる開口が任意の所望の空間的配置に配置される。これらの機構のそれぞれが、雰囲気78に対する流体流の複数の流れの導入および/または排出を促進するはずであり、これら複数の流れの一部が、テンプレート26のまわりの様々な領域へ導入される。流体流の複数の流れを導入すると、雰囲気78中の流体の乱流をもたらすと考えられる。これによって、流体流中の分子が処理領域78aに達する確率が増大すると考えられる。しかし、開口104a、106a、108aおよび110aのそれぞれを通る、雰囲気78に対する流体の流入および排出は、上記で論じられた任意のやり方で生じさせてよい。]
[0029] 別の実施形態では、フロー・セル112がテンプレート26と領域77との間に生成され得るように、流体流が、それぞれの開口104a、106a、108aおよび110aを通って順次に導入されてよい。フロー・セル112は、処理領域78aの中への流体流中の分子の進入を促進することになり、前述の利益をもたらす。例えば、流体流れは、まず開口104aを通って導入され、次いで終結されてよい。開口104aを通る流体流れの終結の後、雰囲気78の中に流体を導入するために開口106aを通る流体流れが始まる。続いて、開口106aを通る流体流れが終結する。開口106aを通る流体流れの終結の後、雰囲気78の中に流体を導入するために開口108aを通る流体流れが始まる。続いて、開口108aを通る流体流れが終結する。開口108aを通る流体流れの終結の後、雰囲気78の中に流体を導入するために開口110aを通る流体流れが始まる。このように、流体は、任意の所与の時間に1つの象限を通って雰囲気78の中に導入される。しかし、複数の象限の中に流体を導入するのが望ましいことがある。これは、フロー・セル112の生成を妨げる恐れがあるが、本発明の範囲内にある。]
[0030] あるいは、フロー・セル112を生成するために、開口104a、106a、108aおよび110aを通る順次の導入および排出に着手してよい。これは、開口104a、106a、108aおよび110aの1つまたは複数を同時に通る流体の導入を含むことになる。続いて、フロー・セル112を生成するために、開口104a、106a、108aおよび110aのそれぞれを通る順次の排出が起こり得る。例えば、流体が、すべての開口を同時に通ってチャック本体42に導入されてよい。その後、流体が、それぞれの開口104a、106a、108aおよび110aの1度に1つから排出されてよい。以前に、開口104a、106a、108aおよび110aを通って導入された流体の雰囲気78中の濃度は、排出によって希望レベル未満となった。次いで、開口104a、106a、108aおよび110aの1つまたはすべてを通して流体を再導入してよく、フロー・セル112を生成および/または維持するプロセスを繰り返してよい。]
[0031] 前述の本発明の実施形態は例示的なものである。上記に列挙された開示に対して、本発明の範囲内にとどまりながら多くの変更および修正を行うことができる。したがって、本発明の範囲は、上記の説明によって限定されるべきでなく、添付の特許請求の範囲をそれらの等価物の全範囲とともに参照して決定されるべきである。]
[0032] 25プロセッサ; 26テンプレート; 28 型;
40チャック機構; 70流体供給システム; 104,106貫通路。]
权利要求:

請求項1
基板上に位置する高粘度液の層中にある気体を低減する方法であって、前記高粘度液に近接した気体の移送を、前記高粘度液、前記基板、前記基板から離隔された型組立体、またはそれらの組合せのいずれかを通る前記気体の前記移送が増加するように変化させるステップを含む方法。
請求項2
前記気体の前記移送が、前記高粘度液、前記基板、前記テンプレート、またはそれらの組合せのいずれかにおける前記気体の溶解性を向上するステップをさらに含む請求項1に記載の方法。
請求項3
前記気体の前記移送が、前記高粘度液、前記基板、前記テンプレート、またはそれらの組合せのいずれかにおける前記気体の拡散を向上するステップをさらに含む請求項1に記載の方法。
請求項4
前記基板に近接した雰囲気中に流体を導入することにより前記基板に近接した前記雰囲気を制御するステップをさらに含む請求項1に記載の方法。
請求項5
前記基板に近接した雰囲気の圧力を低下させるステップをさらに含む請求項1に記載の方法。
請求項6
変化させるステップが、前記高粘度液に近接した雰囲気にヘリウム・ガスを限度一杯含ませるステップをさらに含む請求項1に記載の方法。
請求項7
前記高粘度液中にパターンを凝固するステップをさらに含む請求項1に記載の方法。
請求項8
基板上に位置する高粘度液中にある気体を低減する方法において、前記高粘度液と近接して型組立体を置くことにより、前記基板に近接した処理領域を画定するステップであって、前記処理領域が、関連する雰囲気を有するステップと、前記雰囲気中の気体の移送を向上するために前記雰囲気の特性を変化させるステップであって、前記気体の前記移送が、前記型組立体、前記基板、前記液体、またはそれらの組合せのいずれかを通るものであるステップとを含む方法。
請求項9
変化させるステップが、前記高粘度液、前記基板、前記型組立体、またはそれらの組合せにおける前記雰囲気の溶解性を向上するステップをさらに含む請求項8に記載の方法。
請求項10
変化させるステップが、前記高粘度液、前記型組立体、前記基板、またはそれらの組合せにおける前記雰囲気の拡散を向上するステップをさらに含む請求項8に記載の方法。
請求項11
変化させるステップが、二酸化炭素およびヘリウムから成る1組の気体から選択された気体を前記雰囲気中に導入するステップをさらに含む請求項8に記載の方法。
請求項12
前記雰囲気の圧力を低下させるステップをさらに含む請求項11に記載の方法。
請求項13
前記高粘度液から、凝固されたパターン層を形成するステップをさらに含む請求項8に記載の方法。
請求項14
基板上に位置する高粘度液の層中にある気体を低減する方法において、型組立体を前記基板に近接して置くステップであって、関連する雰囲気をその間に有する処理領域を画定するステップと、前記高粘度液、前記型組立体、前記基板、またはそれらの組合せのいずれかにおける前記気体の移送を向上するために前記雰囲気中へ流体を導入するステップと、前記処理領域に真空を与えることにより前記処理領域の圧力を低下させるステップとを含む方法。
請求項15
導入するステップが、前記高粘度液、前記基板、前記型組立体、またはそれらの組合せにおける前記雰囲気の溶解性を向上するステップをさらに含む請求項14に記載の方法。
請求項16
導入するステップが、前記高粘度液、前記基板、前記型組立体、またはそれらの組合せにおける前記雰囲気の拡散を向上するステップをさらに含む請求項14に記載の方法。
請求項17
基板上に配置された高粘度液の層中にある気体を低減する方法であって、前記高粘度液に近接した気体の組成を、前記高粘度液、前記基板、前記基板から離隔された型組立体、またはそれらの組合せのいずれかの中の前記気体の移送が増加するように変化させるステップを含む方法。
請求項18
変化させるステップが、前記気体の中に、画定する初期気体、前記高粘度液中で前記初期気体の溶解性より大きい溶解性を有する追加の気体を導入するステップをさらに含む請求項17に記載の方法。
請求項19
変化させるステップが、前記気体の中に、画定する初期気体、前記高粘度液中で前記初期気体の拡散率より大きい拡散率を有する追加の気体を導入するステップをさらに含む請求項17に記載の方法。
請求項20
変化させるステップが、前記基板に近接した雰囲気中に、前記高粘度流体を限度一杯含む流体を導入することにより前記基板に近接した前記雰囲気を制御するステップをさらに含む請求項17に記載の方法。
請求項21
前記基板に近接した雰囲気の圧力を低下させるステップをさらに含む請求項17に記載の方法。
請求項22
導入するステップが、二酸化炭素およびヘリウムから成る1組の気体から選択された前記追加の気体を導入するステップをさらに含む請求項18に記載の方法。
請求項23
導入するステップが、二酸化炭素およびヘリウムから成る1組の気体から選択された前記追加の気体を導入するステップをさらに含む請求項19に記載の方法。
請求項24
変化させるステップが、前記高粘度液に近接した雰囲気にヘリウム・ガスを限度一杯含ませるステップをさらに含む請求項17に記載の方法。
类似技术:
公开号 | 公开日 | 专利标题
US9676123B2|2017-06-13|Flexible nanoimprint mold, method for fabricating the same, and mold usage on planar and curved substrate
USRE47483E1|2019-07-02|Template having a varying thickness to facilitate expelling a gas positioned between a substrate and the template
Schift2015|Nanoimprint lithography: 2D or not 2D? A review
Gates et al.2004|Unconventional nanofabrication
US7882780B2|2011-02-08|System and method for patterning both sides of a substrate utilizing imprint lithography
US7943081B2|2011-05-17|Step and repeat imprint lithography processes
JP4819577B2|2011-11-24|パターン転写方法およびパターン転写装置
US6900881B2|2005-05-31|Step and repeat imprint lithography systems
KR100855725B1|2008-09-03|임프린트 리소그래피
US8333583B2|2012-12-18|Methods and apparatus for rapid imprint lithography
JP4990479B2|2012-08-01|多層リソグラフィープロセスに関する新規な平坦化方法
Brittain et al.1998|Soft lithography and microfabrication
US7396475B2|2008-07-08|Method of forming stepped structures employing imprint lithography
US7670529B2|2010-03-02|Method and system for double-sided patterning of substrates
US7224443B2|2007-05-29|Imprint lithography substrate processing tool for modulating shapes of substrates
TWI304520B|2008-12-21|Imprint lithography
Wu et al.2002|Fabrication of arrays of microlenses with controlled profiles using gray-scale microlens projection photolithography
KR101171197B1|2012-08-06|정렬 마크가 있는 임프린트 리소그래피 템플레이트
Jung et al.2005|Vapor-phase self-assembled monolayer for improved mold release in nanoimprint lithography
KR100508337B1|2005-08-17|나노미터 수준으로 패턴화된 고분자 박막의 제조 방법
US8349241B2|2013-01-08|Method to arrange features on a substrate to replicate features having minimal dimensional variability
US7309225B2|2007-12-18|Moat system for an imprint lithography template
US6613148B1|2003-09-02|Method and apparatus for applying highly viscous liquid to substrate
US7906059B2|2011-03-15|Imprint lithography
US7491637B2|2009-02-17|Formation of conductive templates employing indium tin oxide
同族专利:
公开号 | 公开日
EP2252725A4|2012-02-29|
US20080141862A1|2008-06-19|
US8211214B2|2012-07-03|
CN101932754A|2010-12-29|
KR20100112179A|2010-10-18|
WO2009099630A1|2009-08-13|
EP2252725A1|2010-11-24|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题
JP2004103817A|2002-09-10|2004-04-02|National Institute Of Advanced Industrial & Technology|インプリント方法及び装置|
JP2007509769A|2003-10-02|2007-04-19|ボード・オブ・リージエンツ,ザ・ユニバーシテイ・オブ・テキサス・システム|単一位相流体インプリント・リソグラフィ法|
WO2007123805A2|2006-04-03|2007-11-01|Molecular Imprints, Inc.|Lithography imprinting system|KR20140116209A|2012-02-27|2014-10-01|캐논 가부시끼가이샤|임프린트 장치 및 임프린트 방법 그리고 물품 제조 방법|
US10481490B2|2015-11-09|2019-11-19|Canon Kabushiki Kaisha|Imprint apparatus, and method of manufacturing article|US3783520A|1970-09-28|1974-01-08|Bell Telephone Labor Inc|High accuracy alignment procedure utilizing moire patterns|
DE2527908A1|1975-06-23|1976-05-06|||
IT1068535B|1975-11-03|1985-03-21|Ibm|Apparecchio e processo elettrolito grafico|
DE2800476A1|1977-01-07|1978-07-13|Instruments Sa|METHOD FOR DUPLICATING AN OPTICAL SURFACE AND SO MANUFACTURED DRAFT GRATING|
US4201800A|1978-04-28|1980-05-06|International Business Machines Corp.|Hardened photoresist master image mask process|
JPS6053675B2|1978-09-20|1985-11-27|Fuji Photo Film Co Ltd||
US4279628A|1979-12-31|1981-07-21|Energy Synergistics, Inc.|Apparatus for drying a natural gas stream|
US4426247A|1982-04-12|1984-01-17|Nippon Telegraph & Telephone Public Corporation|Method for forming micropattern|
US4544572B1|1982-09-07|1994-01-04|Signet Armorlite, Inc.||
US4451507A|1982-10-29|1984-05-29|Rca Corporation|Automatic liquid dispensing apparatus for spinning surface of uniform thickness|
FR2538923B1|1982-12-30|1985-03-08|Thomson Csf||
US4507331A|1983-12-12|1985-03-26|International Business Machines Corporation|Dry process for forming positive tone micro patterns|
US4512848A|1984-02-06|1985-04-23|Exxon Research And Engineering Co.|Procedure for fabrication of microstructures over large areas using physical replication|
US4552833A|1984-05-14|1985-11-12|International Business Machines Corporation|Radiation sensitive and oxygen plasma developable resist|
US4908298A|1985-03-19|1990-03-13|International Business Machines Corporation|Method of creating patterned multilayer films for use in production of semiconductor circuits and systems|
EP0228671A1|1985-12-23|1987-07-15|General Electric Company|Method for the production of a coated substrate with controlled surface characteristics|
US4657845A|1986-01-14|1987-04-14|International Business Machines Corporation|Positive tone oxygen plasma developable photoresist|
US4692205A|1986-01-31|1987-09-08|International Business Machines Corporation|Silicon-containing polyimides as oxygen etch stop and dual dielectric coatings|
US4737425A|1986-06-10|1988-04-12|International Business Machines Corporation|Patterned resist and process|
KR900004269B1|1986-06-11|1990-06-18|도리 스기이찌로|제 1물체와 제 2 물체와의 위치 맞추는 방법 및 장치|
DE3760773D1|1986-07-25|1989-11-16|Oki Electric Ind Co Ltd|Negative resist material, method for its manufacture and method for using it|
JPS6376330A|1986-09-18|1988-04-06|Oki Electric Ind Co Ltd|Manufacture of semiconductor device|
US4707218A|1986-10-28|1987-11-17|International Business Machines Corporation|Lithographic image size reduction|
US4931351A|1987-01-12|1990-06-05|Eastman Kodak Company|Bilayer lithographic process|
US5736424A|1987-02-27|1998-04-07|Lucent Technologies Inc.|Device fabrication involving planarization|
US6391798B1|1987-02-27|2002-05-21|Agere Systems Guardian Corp.|Process for planarization a semiconductor substrate|
US4731155A|1987-04-15|1988-03-15|General Electric Company|Process for forming a lithographic mask|
US4808511A|1987-05-19|1989-02-28|International Business Machines Corporation|Vapor phase photoresist silylation process|
US4936951A|1987-10-26|1990-06-26|Matsushita Electric Industrial Co., Ltd.|Method of reducing proximity effect in electron beam resists|
US5028366A|1988-01-12|1991-07-02|Air Products And Chemicals, Inc.|Water based mold release compositions for making molded polyurethane foam|
US4891303A|1988-05-26|1990-01-02|Texas Instruments Incorporated|Trilayer microlithographic process using a silicon-based resist as the middle layer|
US5821175A|1988-07-08|1998-10-13|Cauldron Limited Partnership|Removal of surface contaminants by irradiation using various methods to achieve desired inert gas flow over treated surface|
JPH0269936A|1988-07-28|1990-03-08|Siemens Ag|Method for forming resin structure on semiconductor material|
US4921778A|1988-07-29|1990-05-01|Shipley Company Inc.|Photoresist pattern fabrication employing chemically amplified metalized material|
EP0355496A3|1988-08-15|1990-10-10|Sumitomo Heavy Industries Co., Ltd.|Position detector employing a sector fresnel zone plate|
JP2546350B2|1988-09-09|1996-10-23|キヤノン株式会社|位置合わせ装置|
US4964945A|1988-12-09|1990-10-23|Minnesota Mining And Manufacturing Company|Lift off patterning process on a flexible substrate|
US5439766A|1988-12-30|1995-08-08|International Business Machines Corporation|Composition for photo imaging|
CA2010169A1|1989-02-21|1990-08-21|Masakazu Uekita|Multi-layer resist|
US4999280A|1989-03-17|1991-03-12|International Business Machines Corporation|Spray silylation of photoresist images|
US5169494A|1989-03-27|1992-12-08|Matsushita Electric Industrial Co., Ltd.|Fine pattern forming method|
DE59010728D1|1989-04-24|1997-07-31|Siemens Ag|Process for producing etch-resistant structures|
JP3001607B2|1989-04-24|2000-01-24|シーメンス、アクチエンゲゼルシヤフト|二層法における寸法安定な構造転写方法|
US5053318A|1989-05-18|1991-10-01|Shipley Company Inc.|Plasma processing with metal mask integration|
CA2011927C|1989-06-02|1996-12-24|Alan Lee Sidman|Microlithographic method for producing thick, vertically-walled photoresist patterns|
US4919748A|1989-06-30|1990-04-24|At&T Bell Laboratories|Method for tapered etching|
JP2704001B2|1989-07-18|1998-01-26|キヤノン株式会社|位置検出装置|
DE4031637C2|1989-10-06|1997-04-10|Toshiba Kawasaki Kk|Anordnung zum Messen einer Verschiebung zwischen zwei Objekten|
US5139925A|1989-10-18|1992-08-18|Massachusetts Institute Of Technology|Surface barrier silylation of novolak film without photoactive additive patterned with 193 nm excimer laser|
US5362606A|1989-10-18|1994-11-08|Massachusetts Institute Of Technology|Positive resist pattern formation through focused ion beam exposure and surface barrier silylation|
JP3197010B2|1990-03-05|2001-08-13|株式会社東芝|間隔設定方法及び間隔設定装置|
US5328810A|1990-05-07|1994-07-12|Micron Technology, Inc.|Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process|
JP2586692B2|1990-05-24|1997-03-05|松下電器産業株式会社|パターン形成材料およびパターン形成方法|
JP2524436B2|1990-09-18|1996-08-14|インターナショナル・ビジネス・マシーンズ・コーポレイション|表面処理方法|
US5314772A|1990-10-09|1994-05-24|Arizona Board Of Regents|High resolution, multi-layer resist for microlithography and method therefor|
US5240878A|1991-04-26|1993-08-31|International Business Machines Corporation|Method for forming patterned films on a substrate|
US5212147A|1991-05-15|1993-05-18|Hewlett-Packard Company|Method of forming a patterned in-situ high Tc superconductive film|
US5421981A|1991-06-26|1995-06-06|Ppg Industries, Inc.|Electrochemical sensor storage device|
EP0524759A1|1991-07-23|1993-01-27|AT&T Corp.|Device fabrication process|
US5242711A|1991-08-16|1993-09-07|Rockwell International Corp.|Nucleation control of diamond films by microlithographic patterning|
JPH0580530A|1991-09-24|1993-04-02|Hitachi Ltd|薄膜パターン製造方法|
US5263073A|1991-12-20|1993-11-16|Board Of Supervisors Of Louisiana State University And Agricultural And Mechanical College|Scanning systems for high resolution E-beam and X-ray lithography|
US5244818A|1992-04-08|1993-09-14|Georgia Tech Research Corporation|Processes for lift-off of thin film materials and for the fabrication of three dimensional integrated circuits|
US5545367A|1992-04-15|1996-08-13|Soane Technologies, Inc.|Rapid prototype three dimensional stereolithography|
US5376810A|1992-06-26|1994-12-27|California Institute Of Technology|Growth of delta-doped layers on silicon CCD/S for enhanced ultraviolet response|
US5601641A|1992-07-21|1997-02-11|Tse Industries, Inc.|Mold release composition with polybutadiene and method of coating a mold core|
US5431777A|1992-09-17|1995-07-11|International Business Machines Corporation|Methods and compositions for the selective etching of silicon|
TW227628B|1992-12-10|1994-08-01|Samsung Electronics Co Ltd||
DE69405451T2|1993-03-16|1998-03-12|Koninkl Philips Electronics Nv|Verfahren und Vorrichtung zur Herstellung eines strukturierten Reliefbildes aus vernetztem Photoresist auf einer flachen Substratoberfläche|
US5380474A|1993-05-20|1995-01-10|Sandia Corporation|Methods for patterned deposition on a substrate|
US5324683A|1993-06-02|1994-06-28|Motorola, Inc.|Method of forming a semiconductor structure having an air region|
JP2837063B2|1993-06-04|1998-12-14|シャープ株式会社|レジストパターンの形成方法|
US5900160A|1993-10-04|1999-05-04|President And Fellows Of Harvard College|Methods of etching articles via microcontact printing|
US6776094B1|1993-10-04|2004-08-17|President & Fellows Of Harvard College|Kit For Microcontact Printing|
US5512131A|1993-10-04|1996-04-30|President And Fellows Of Harvard College|Formation of microstamped patterns on surfaces and derivative articles|
US5776748A|1993-10-04|1998-07-07|President And Fellows Of Harvard College|Method of formation of microstamped patterns on plates for adhesion of cells and other biological materials, devices and uses therefor|
US6180239B1|1993-10-04|2001-01-30|President And Fellows Of Harvard College|Microcontact printing on surfaces and derivative articles|
NL9401260A|1993-11-12|1995-06-01|Cornelis Johannes Maria Van Ri|Membraan voor microfiltratie, ultrafiltratie, gasscheiding en katalyse, werkwijze ter vervaardiging van een dergelijk membraan, mal ter vervaardiging van een dergelijk membraan, alsmede diverse scheidingssystemen omvattende een dergelijk membraan.|
KR970009858B1|1994-01-12|1997-06-18|문정환|다층 레지스트 패턴 형성방법|
US5534101A|1994-03-02|1996-07-09|Telecommunication Research Laboratories|Method and apparatus for making optical components by direct dispensing of curable liquid|
US5417802A|1994-03-18|1995-05-23|At&T Corp.|Integrated circuit manufacturing|
US5453157A|1994-05-16|1995-09-26|Texas Instruments Incorporated|Low temperature anisotropic ashing of resist for semiconductor fabrication|
US5670415A|1994-05-24|1997-09-23|Depositech, Inc.|Method and apparatus for vacuum deposition of highly ionized media in an electromagnetic controlled environment|
US5458520A|1994-12-13|1995-10-17|International Business Machines Corporation|Method for producing planar field emission structure|
US5849209A|1995-03-31|1998-12-15|Johnson & Johnson Vision Products, Inc.|Mold material made with additives|
US5743998A|1995-04-19|1998-04-28|Park Scientific Instruments|Process for transferring microminiature patterns using spin-on glass resist media|
US5820769A|1995-05-24|1998-10-13|Regents Of The University Of Minnesota|Method for making magnetic storage having discrete elements with quantized magnetic moments|
US5948570A|1995-05-26|1999-09-07|Lucent Technologies Inc.|Process for dry lithographic etching|
US5997273A|1995-08-01|1999-12-07|Laquer; Henry Louis|Differential pressure HIP forging in a controlled gaseous environment|
US5654238A|1995-08-03|1997-08-05|International Business Machines Corporation|Method for etching vertical contact holes without substrate damage caused by directional etching|
US5849222A|1995-09-29|1998-12-15|Johnson & Johnson Vision Products, Inc.|Method for reducing lens hole defects in production of contact lens blanks|
US20040137734A1|1995-11-15|2004-07-15|Princeton University|Compositions and processes for nanoimprinting|
US5772905A|1995-11-15|1998-06-30|Regents Of The University Of Minnesota|Nanoimprint lithography|
US6309580B1|1995-11-15|2001-10-30|Regents Of The University Of Minnesota|Release surfaces, particularly for use in nanoimprint lithography|
US6518189B1|1995-11-15|2003-02-11|Regents Of The University Of Minnesota|Method and apparatus for high density nanostructures|
US5669303A|1996-03-04|1997-09-23|Motorola|Apparatus and method for stamping a surface|
US6355198B1|1996-03-15|2002-03-12|President And Fellows Of Harvard College|Method of forming articles including waveguides via capillary micromolding and microtransfer molding|
US6039897A|1996-08-28|2000-03-21|University Of Washington|Multiple patterned structures on a single substrate fabricated by elastomeric micro-molding techniques|
US5895263A|1996-12-19|1999-04-20|International Business Machines Corporation|Process for manufacture of integrated circuit device|
US6143412A|1997-02-10|2000-11-07|President And Fellows Of Harvard College|Fabrication of carbon microstructures|
US5948470A|1997-04-28|1999-09-07|Harrison; Christopher|Method of nanoscale patterning and products made thereby|
US5948219A|1997-05-07|1999-09-07|Advanced Micro Devices, Inc.|Apparatus for selectively exposing a semiconductor topography to an electric field|
US5926690A|1997-05-28|1999-07-20|Advanced Micro Devices, Inc.|Run-to-run control process for controlling critical dimensions|
US6033977A|1997-06-30|2000-03-07|Siemens Aktiengesellschaft|Dual damascene structure|
US5912049A|1997-08-12|1999-06-15|Micron Technology, Inc.|Process liquid dispense method and apparatus|
US5991022A|1997-12-09|1999-11-23|N&K Technology, Inc.|Reflectance spectrophotometric apparatus with toroidal mirrors|
US6150680A|1998-03-05|2000-11-21|Welch Allyn, Inc.|Field effect semiconductor device having dipole barrier|
JP3780700B2|1998-05-26|2006-05-31|セイコーエプソン株式会社|パターン形成方法、パターン形成装置、パターン形成用版、パターン形成用版の製造方法、カラーフィルタの製造方法、導電膜の製造方法及び液晶パネルの製造方法|
US6150231A|1998-06-15|2000-11-21|Siemens Aktiengesellschaft|Overlay measurement technique using moire patterns|
US7635262B2|2000-07-18|2009-12-22|Princeton University|Lithographic apparatus for fluid pressure imprint lithography|
US20040036201A1|2000-07-18|2004-02-26|Princeton University|Methods and apparatus of field-induced pressure imprint lithography|
US20030080471A1|2001-10-29|2003-05-01|Chou Stephen Y.|Lithographic method for molding pattern with nanoscale features|
US20050037143A1|2000-07-18|2005-02-17|Chou Stephen Y.|Imprint lithography with improved monitoring and control and apparatus therefor|
US7211214B2|2000-07-18|2007-05-01|Princeton University|Laser assisted direct imprint lithography|
US7758794B2|2001-10-29|2010-07-20|Princeton University|Method of making an article comprising nanoscale patterns with reduced edge roughness|
US6428852B1|1998-07-02|2002-08-06|Mykrolis Corporation|Process for coating a solid surface with a liquid composition|
US5907782A|1998-08-15|1999-05-25|Acer Semiconductor Manufacturing Inc.|Method of forming a multiple fin-pillar capacitor for a high density dram cell|
US6096655A|1998-09-02|2000-08-01|International Business Machines, Corporation|Method for forming vias and trenches in an insulation layer for a dual-damascene multilevel interconnection structure|
US6713238B1|1998-10-09|2004-03-30|Stephen Y. Chou|Microscale patterning and articles formed thereby|
US6218316B1|1998-10-22|2001-04-17|Micron Technology, Inc.|Planarization of non-planar surfaces in device fabrication|
US6168845B1|1999-01-19|2001-01-02|International Business Machines Corporation|Patterned magnetic media and method of making the same using selective oxidation|
US6274294B1|1999-02-03|2001-08-14|Electroformed Stents, Inc.|Cylindrical photolithography exposure process and apparatus|
US6565928B2|1999-03-08|2003-05-20|Tokyo Electron Limited|Film forming method and film forming apparatus|
US6334960B1|1999-03-11|2002-01-01|Board Of Regents, The University Of Texas System|Step and flash imprint lithography|
JP4286374B2|1999-03-30|2009-06-24|新日鐵化学株式会社|シリコーン樹脂及びこれを含有する感光性樹脂組成物|
US6387783B1|1999-04-26|2002-05-14|International Business Machines Corporation|Methods of T-gate fabrication using a hybrid resist|
JP3291488B2|1999-05-27|2002-06-10|三洋電機株式会社|流体の被除去物除去方法|
US6255022B1|1999-06-17|2001-07-03|Taiwan Semiconductor Manufacturing Company|Dry development process for a bi-layer resist system utilized to reduce microloading|
EP1065567A3|1999-06-29|2001-05-16|Applied Materials, Inc.|Integrated critical dimension control|
US6383928B1|1999-09-02|2002-05-07|Texas Instruments Incorporated|Post copper CMP clean|
US6517995B1|1999-09-14|2003-02-11|Massachusetts Institute Of Technology|Fabrication of finely featured devices by liquid embossing|
US6329256B1|1999-09-24|2001-12-11|Advanced Micro Devices, Inc.|Self-aligned damascene gate formation with low gate resistance|
DE19958966A1|1999-12-07|2001-06-13|Infineon Technologies Ag|Erzeugung von Resiststrukturen|
CA2395760A1|1999-12-23|2001-06-28|University Of Massachusetts|Methods and apparatus for forming submicron patterns on films|
US6245581B1|2000-04-19|2001-06-12|Advanced Micro Devices, Inc.|Method and apparatus for control of critical dimension using feedback etch control|
JP2001358056A|2000-06-15|2001-12-26|Canon Inc|露光装置|
CN100504598C|2000-07-16|2009-06-24|得克萨斯州大学系统董事会|用于平版印刷工艺中的高分辨率重叠对齐方法和系统|
EP1303793B1|2000-07-17|2015-01-28|Board Of Regents, The University Of Texas System|Method and system of automatic fluid dispensing for imprint lithography processes|
US6482742B1|2000-07-18|2002-11-19|Stephen Y. Chou|Fluid pressure imprint lithography|
US6326627B1|2000-08-02|2001-12-04|Archimedes Technology Group, Inc.|Mass filtering sputtered ion source|
US6777170B1|2000-08-04|2004-08-17|Massachusetts Institute Of Technology|Stereolithographic patterning by variable dose light delivery|
US6730256B1|2000-08-04|2004-05-04|Massachusetts Institute Of Technology|Stereolithographic patterning with interlayer surface modifications|
US6455411B1|2000-09-11|2002-09-24|Texas Instruments Incorporated|Defect and etch rate control in trench etch for dual damascene patterning of low-k dielectrics|
AU2001297642A1|2000-10-12|2002-09-04|Board Of Regents, The University Of Texas System|Template for room temperature, low pressure micro- and nano-imprint lithography|
US6489068B1|2001-02-21|2002-12-03|Advanced Micro Devices, Inc.|Process for observing overlay errors on lithographic masks|
US6387787B1|2001-03-02|2002-05-14|Motorola, Inc.|Lithographic template and method of formation and use|
US6632742B2|2001-04-18|2003-10-14|Promos Technologies Inc.|Method for avoiding defects produced in the CMP process|
US6541360B1|2001-04-30|2003-04-01|Advanced Micro Devices, Inc.|Bi-layer trim etch process to form integrated circuit gate structures|
US6534418B1|2001-04-30|2003-03-18|Advanced Micro Devices, Inc.|Use of silicon containing imaging layer to define sub-resolution gate structures|
US6847433B2|2001-06-01|2005-01-25|Agere Systems, Inc.|Holder, system, and process for improving overlay in lithography|
TW488080B|2001-06-08|2002-05-21|Au Optronics Corp|Method for producing thin film transistor|
US6561706B2|2001-06-28|2003-05-13|Advanced Micro Devices, Inc.|Critical dimension monitoring from latent image|
US7670770B2|2001-07-25|2010-03-02|The Trustees Of Princeton University|Nanochannel arrays and their preparation and use for high throughput macromolecular analysis|
WO2003035932A1|2001-09-25|2003-05-01|Minuta Technology Co., Ltd.|Method for forming a micro-pattern on a substrate by using capillary force|
US6716767B2|2001-10-31|2004-04-06|Brewer Science, Inc.|Contact planarization materials that generate no volatile byproducts or residue during curing|
JP3850718B2|2001-11-22|2006-11-29|株式会社東芝|加工方法|
US6890688B2|2001-12-18|2005-05-10|Freescale Semiconductor, Inc.|Lithographic template and method of formation and use|
AT377763T|2002-01-25|2007-11-15|Innovadyne Technologies Inc|Kontaktloses verfahren zur verteilung geringer flüssigkeitsvolumen|
US6737202B2|2002-02-22|2004-05-18|Motorola, Inc.|Method of fabricating a tiered structure using a multi-layered resist stack and use|
US7455955B2|2002-02-27|2008-11-25|Brewer Science Inc.|Planarization method for multi-layer lithography processing|
US6881366B2|2002-04-22|2005-04-19|International Business Machines Corporation|Process of fabricating a precision microcontact printing stamp|
US6743713B2|2002-05-15|2004-06-01|Institute Of Microelectronics|Method of forming dual damascene pattern using dual bottom anti-reflective coatings |
US6849558B2|2002-05-22|2005-02-01|The Board Of Trustees Of The Leland Stanford Junior University|Replication and transfer of microstructures and nanostructures|
US6926929B2|2002-07-09|2005-08-09|Molecular Imprints, Inc.|System and method for dispensing liquids|
US6900881B2|2002-07-11|2005-05-31|Molecular Imprints, Inc.|Step and repeat imprint lithography systems|
US6932934B2|2002-07-11|2005-08-23|Molecular Imprints, Inc.|Formation of discontinuous films during an imprint lithography process|
US6908861B2|2002-07-11|2005-06-21|Molecular Imprints, Inc.|Method for imprint lithography using an electric field|
US7077992B2|2002-07-11|2006-07-18|Molecular Imprints, Inc.|Step and repeat imprint lithography processes|
US6916584B2|2002-08-01|2005-07-12|Molecular Imprints, Inc.|Alignment methods for imprint lithography|
US7071088B2|2002-08-23|2006-07-04|Molecular Imprints, Inc.|Method for fabricating bulbous-shaped vias|
US6929762B2|2002-11-13|2005-08-16|Molecular Imprints, Inc.|Method of reducing pattern distortions during imprint lithography processes|
US7019819B2|2002-11-13|2006-03-28|Molecular Imprints, Inc.|Chucking system for modulating shapes of substrates|
US7750059B2|2002-12-04|2010-07-06|Hewlett-Packard Development Company, L.P.|Polymer solution for nanoimprint lithography to reduce imprint temperature and pressure|
US6980282B2|2002-12-11|2005-12-27|Molecular Imprints, Inc.|Method for modulating shapes of substrates|
US7365103B2|2002-12-12|2008-04-29|Board Of Regents, The University Of Texas System|Compositions for dark-field polymerization and method of using the same for imprint lithography processes|
US6871558B2|2002-12-12|2005-03-29|Molecular Imprints, Inc.|Method for determining characteristics of substrate employing fluid geometries|
US6986815B2|2003-01-08|2006-01-17|General Electric Company|Flow system flush process|
US6770852B1|2003-02-27|2004-08-03|Lam Research Corporation|Critical dimension variation compensation across a wafer by means of local wafer temperature control|
US6943117B2|2003-03-27|2005-09-13|Korea Institute Of Machinery & Materials|UV nanoimprint lithography process using elementwise embossed stamp and selectively additive pressurization|
TWI228638B|2003-06-10|2005-03-01|Ind Tech Res Inst|Method for and apparatus for bonding patterned imprint to a substrate by adhering means|
US7157036B2|2003-06-17|2007-01-02|Molecular Imprints, Inc|Method to reduce adhesion between a conformable region and a pattern of a mold|
US7442336B2|2003-08-21|2008-10-28|Molecular Imprints, Inc.|Capillary imprinting technique|
US20050106321A1|2003-11-14|2005-05-19|Molecular Imprints, Inc.|Dispense geometery to achieve high-speed filling and throughput|
US8076386B2|2004-02-23|2011-12-13|Molecular Imprints, Inc.|Materials for imprint lithography|
US20050189676A1|2004-02-27|2005-09-01|Molecular Imprints, Inc.|Full-wafer or large area imprinting with multiple separated sub-fields for high throughput lithography|
US20050276919A1|2004-06-01|2005-12-15|Molecular Imprints, Inc.|Method for dispensing a fluid on a substrate|
AT477515T|2004-06-03|2010-08-15|Molecular Imprints Inc|Fluidausgabe und tropfenausgabe nach bedarf für die herstellung im nanobereich|
US20050270516A1|2004-06-03|2005-12-08|Molecular Imprints, Inc.|System for magnification and distortion correction during nano-scale manufacturing|
US7547504B2|2004-09-21|2009-06-16|Molecular Imprints, Inc.|Pattern reversal employing thick residual layers|
US20060062922A1|2004-09-23|2006-03-23|Molecular Imprints, Inc.|Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor|
US7244386B2|2004-09-27|2007-07-17|Molecular Imprints, Inc.|Method of compensating for a volumetric shrinkage of a material disposed upon a substrate to form a substantially planar structure therefrom|
JP5198071B2|2004-12-01|2013-05-15|モレキュラー・インプリンツ・インコーポレーテッド|インプリントリソグラフィ・プロセスにおける熱管理のための露光方法|
US7811505B2|2004-12-07|2010-10-12|Molecular Imprints, Inc.|Method for fast filling of templates for imprint lithography using on template dispense|
US7316554B2|2005-09-21|2008-01-08|Molecular Imprints, Inc.|System to control an atmosphere between a body and a substrate|
US7906058B2|2005-12-01|2011-03-15|Molecular Imprints, Inc.|Bifurcated contact printing technique|
EP1957249B1|2005-12-08|2014-11-12|Canon Nanotechnologies, Inc.|Method and system for double-sided patterning of substrates|
US7670530B2|2006-01-20|2010-03-02|Molecular Imprints, Inc.|Patterning substrates employing multiple chucks|
US20070228593A1|2006-04-03|2007-10-04|Molecular Imprints, Inc.|Residual Layer Thickness Measurement and Correction|US8215946B2|2006-05-18|2012-07-10|Molecular Imprints, Inc.|Imprint lithography system and method|
US7019819B2|2002-11-13|2006-03-28|Molecular Imprints, Inc.|Chucking system for modulating shapes of substrates|
US7442336B2|2003-08-21|2008-10-28|Molecular Imprints, Inc.|Capillary imprinting technique|
US20060062922A1|2004-09-23|2006-03-23|Molecular Imprints, Inc.|Polymerization technique to attenuate oxygen inhibition of solidification of liquids and composition therefor|
EP1957249B1|2005-12-08|2014-11-12|Canon Nanotechnologies, Inc.|Method and system for double-sided patterning of substrates|
US7670530B2|2006-01-20|2010-03-02|Molecular Imprints, Inc.|Patterning substrates employing multiple chucks|
US8012395B2|2006-04-18|2011-09-06|Molecular Imprints, Inc.|Template having alignment marks formed of contrast material|
WO2008082650A1|2006-12-29|2008-07-10|Molecular Imprints, Inc.|Imprint fluid control|
US8119052B2|2007-11-02|2012-02-21|Molecular Imprints, Inc.|Drop pattern generation for imprint lithography|
US20090014917A1|2007-07-10|2009-01-15|Molecular Imprints, Inc.|Drop Pattern Generation for Imprint Lithography|
US8945444B2|2007-12-04|2015-02-03|Canon Nanotechnologies, Inc.|High throughput imprint based on contact line motion tracking control|
US8361371B2|2008-02-08|2013-01-29|Molecular Imprints, Inc.|Extrusion reduction in imprint lithography|
US8187515B2|2008-04-01|2012-05-29|Molecular Imprints, Inc.|Large area roll-to-roll imprint lithography|
US8795572B2|2008-04-17|2014-08-05|Massachusetts Institute Of Technology|Symmetric thermocentric flexure with minimal yaw error motion|
US8586126B2|2008-10-21|2013-11-19|Molecular Imprints, Inc.|Robust optimization to generate drop patterns in imprint lithography which are tolerant of variations in drop volume and drop placement|
US8512797B2|2008-10-21|2013-08-20|Molecular Imprints, Inc.|Drop pattern generation with edge weighting|
JP2011023660A|2009-07-17|2011-02-03|Toshiba Corp|パターン転写方法|
JP5491931B2|2010-03-30|2014-05-14|富士フイルム株式会社|ナノインプリント方法およびモールド製造方法|
JP5828626B2|2010-10-04|2015-12-09|キヤノン株式会社|インプリント方法|
US8741199B2|2010-12-22|2014-06-03|Qingdao Technological University|Method and device for full wafer nanoimprint lithography|
KR20130085759A|2012-01-20|2013-07-30|삼성전자주식회사|스탬프 및 그 제조 방법 및 이를 이용한 임프린트 방법|
JP6304921B2|2012-06-05|2018-04-04|キヤノン株式会社|インプリント方法およびインプリント装置、それを用いた物品の製造方法|
US20130337176A1|2012-06-19|2013-12-19|Seagate Technology Llc|Nano-scale void reduction|
法律状态:
2012-02-07| A621| Written request for application examination|Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20120206 |
2012-02-07| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120206 |
2012-02-16| A711| Notification of change in applicant|Free format text: JAPANESE INTERMEDIATE CODE: A711 Effective date: 20120215 |
2012-03-02| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A821 Effective date: 20120215 |
2013-01-18| A977| Report on retrieval|Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20130118 |
2013-02-06| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20130205 |
2013-05-08| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20130507 |
2014-01-08| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20140107 |
2014-06-11| A02| Decision of refusal|Free format text: JAPANESE INTERMEDIATE CODE: A02 Effective date: 20140610 |
优先权:
申请号 | 申请日 | 专利标题
[返回顶部]